Intel, mille miliardi di transistor in un solo sistema entro il 2030
Il sito "il Centro Tirreno.it" utilizza cookie tecnici o assimiliati e cookie di profilazione di terze parti in forma aggregata a scopi pubblicitari e per rendere più agevole la navigazione, garantire la fruizione dei servizi, se vuoi saperne di più leggi l'informativa estesa, se decidi di continuare la navigazione consideriamo che accetti il loro uso.
19
Dom, Mag

Intel, mille miliardi di transistor in un solo sistema entro il 2030

Tecnologia
Typography
  • Smaller Small Medium Big Bigger
  • Default Helvetica Segoe Georgia Times

Intel ha presentato nuovi avanzamenti nella ricerca per mantenere la legge di Moore sul percorso verso i mille miliardi di transistor su un singolo package entro il prossimo decennio.

alternate text

 

Durante l’IEEE International Electron Devices Meeting (IEDM) 2022, i ricercatori Intel hanno mostrato i progressi raggiunti nella tecnologia di packaging 3D, accrescendo di 10 volte della densità, nuovi materiali per il ridimensionamento dei transistor 2D oltre il livello RibbonFET, incluso un materiale ultrasottile dello spessore di soli 3 atomi, nuovi avanzamenti nell'efficienza energetica e nella memoria per un calcolo più performante, e progressi nel calcolo quantistico.

La legge di Moore prevede che la complessità dei microcircuiti raddoppia periodicamente. “A settantacinque anni dall'invenzione del transistor, l'innovazione che guida la legge di Moore continua a soddisfare la crescente domanda di potenza di calcolo. All’IEDM 2022 di San Francisco Intel ha presentato non solo possibili sviluppi futuri della ricerca ma anche risultati concreti, entrambi necessari per abbattere le barriere attuali e future, soddisfare questa domanda e mantenere sempre attuale la Legge di Moore”, ha dichiarato Gary Patton, Intel vice president e general manager, Components Research and Design Enablement.

La legge di Moore è fondamentale per soddisfare la sempre crescente richiesta di potenza di calcolo a livello mondiale poiché l'aumento del consumo di dati e la spinta verso una maggiore diffusione dell’intelligenza artificiale (AI) determinano la più grande accelerazione mai vista di questa domanda. L'innovazione continua è alla base della legge di Moore. Molte delle più importanti pietre miliari dell'innovazione per il continuo miglioramento di potenza, delle prestazioni e dei costi negli ultimi due decenni, tra cui il silicio allungato (“strained silicon”), il gate metallico Hi-K e il FinFET nei personal computer, nelle schede grafiche e nei data center, sono partite dal Components Research Group di Intel. Ulteriori ricerche, tra cui i transistor RibbonFET gate-all-around (GAA), la tecnologia di erogazione di potenza dal lato posteriore PowerVia e avanzamenti nel packaging come EMIB e Foveros Direct, sono oggi nella roadmap.

All’IEDM 2022 il Components Research Group di Intel ha mostrato il proprio impegno nell’innovazione di tre aree fondamentali per l’avanzamento della legge di Moore: nuova tecnologia di packaging 3D hybrid bonding per consentire una perfetta integrazione dei chiplet, materiali 2D ultrasottili per adattare più transistor su un singolo chip e nuove possibilità in termini di efficienza energetica e memoria per una maggiore potenza di calcolo. Le innovazioni di Intel nel campo dei materiali hanno inoltre portato a scelte progettuali pratiche in grado di soddisfare i requisiti del ridimensionamento dei transistor, grazie all’implementazione di un nuovo materiale dello spessore di soli 3 atomi che consente di continuare a scalare oltre il livello RibbonFET.

Ho scritto e condiviso questo articolo
Author: Red AdnkronosWebsite: http://ilcentrotirreno.it/Email: Questo indirizzo email è protetto dagli spambots. È necessario abilitare JavaScript per vederlo.